X-Git-Url: https://git.cyclocoop.org/?a=blobdiff_plain;f=includes%2FSiteStats.php;h=199c64fa9b8dce096045d092eb359ceee0badcf4;hb=9bb7dc358e8e31f3c395e4f33785718324d4b633;hp=66bc9eeedf33ef02fcb4dbf0efdbc3e366c0e0f5;hpb=6e3b1541745a2f28181685048dea3a9f785f478d;p=lhc%2Fweb%2Fwiklou.git diff --git a/includes/SiteStats.php b/includes/SiteStats.php index 66bc9eeedf..199c64fa9b 100644 --- a/includes/SiteStats.php +++ b/includes/SiteStats.php @@ -226,20 +226,24 @@ class SiteStats { * @return bool */ private static function isSane( $row ) { - if ( - $row === false + if ( $row === false || $row->ss_total_pages < $row->ss_good_articles || $row->ss_total_edits < $row->ss_total_pages + || $row->ss_users < $row->ss_active_users ) { return false; } // Now check for underflow/overflow - foreach ( array( 'total_views', 'total_edits', 'good_articles', - 'total_pages', 'users', 'images' ) as $member ) { - if ( - $row->{"ss_$member"} > 2000000000 - || $row->{"ss_$member"} < 0 - ) { + foreach ( array( + 'ss_total_views', + 'ss_total_edits', + 'ss_good_articles', + 'ss_total_pages', + 'ss_users', + 'ss_active_users', + 'ss_images', + ) as $member ) { + if ( $row->$member > 2000000000 || $row->$member < 0 ) { return false; } } @@ -258,7 +262,7 @@ class SiteStatsUpdate implements DeferrableUpdate { protected $users = 0; protected $images = 0; - // @TODO: deprecate this constructor + // @todo deprecate this constructor function __construct( $views, $edits, $good, $pages = 0, $users = 0 ) { $this->views = $views; $this->edits = $edits;