ok 0 => msg ==> fail(msg) ; ok 1 => msg ==> pass(msg)